You are not logged in.

Dear visitor, welcome to KDE-Forum.org. If this is your first visit here, please read the Help. It explains in detail how this page works. To use all features of this page, you should consider registering. Please use the registration form, to register here or read more information about the registration process. If you are already registered, please login here.

1

Friday, April 11th 2008, 10:28am

KDevelop Permission denied, Err 126

hi,
I've just started programming c++ with g++ using KDevelop 3.5.8 on ubuntu 7.10 (just installed, true greenhorn to ubuntu).

First, I created hello-world program conventionally:

#include <cstdio>
int main() { printf("Hello World\n"); return 0;}

with gedit, compiling and linking it with g++ -o hello hello.cpp,
finally running it with ./hello worked fine and showed "Hello World" in console window.

Now I tried out this task in KDevelop 3.5.8. But serious error occured:

/home/dev/hello: Permission denied
*** Exited with status: 126 ***

Does KDevelop need special permissions on folder /home/dev/hello? On the other hand, using gedit and g++ wrote files to same folder and executing ./hello worked correctly.

Can anybody help me to solve thise problem? I really appreciate any help of you.

Best regards, cliff

I should note that I installed the complete KDevelop system on gnome-based Ubuntu 7.10 including some KDevelop docs. I have also installed auto make tool. Also, I have tried to check /etc/fstab whether exec option would be omitted, but /etc seems to be empty, no files were listed (insufficient privileges?)